切换至中文 Over 1 million code package, 10 million code file free download
  • [Visual C++ (VC++)] findingkeyboard.rar finding keyboard
    Category: Windows Develop Upload User:zjfyfj Size:68K
  • [Delphi] Hook_Keyboard.rar is a Keylogger program, can to see keyboard press
    Category: Delphi VCL Upload User:wuti1987 Size:2K
  • [C++ Builder] tetris.zip this a de2 project with the fucntion of rsr232 , keyboard and VGA.... everything is workable..
    Category: Other Games Upload User:xianghe085 Size:1615K
  • [Visual Basic (VB)] RemoteControl.zip Compile the server and the client separately (it s 2 programs) Then with the server running, connect with the client and make the server s "window" move arround the screen with simple arrow keys on the keyboard of the client computer.
    Category: Communication Upload User:f2008lili Size:5K
  • [VHDL] Kbtestbench.rar ... enable led on fgpa to show the binary code of each key on ps2 keyboard and another four 7segment will display the number from 0 to 9 when you press those number on keyboard, besides it will display E on 7seg when you press others.
    Category: VHDL-FPGA-Verilog Upload User:zexelpump Size:2K
  • [C/C++] Keyboard.zip 1, starts running when the indicator light 7 light, waiting for the keyboard button 2, press the " F" key to enter the running state, light flashes 7* 3, 7, blinking in the light state, according to " 0-9" one of the key, instructions ...
    Category: SCM Upload User:ghkj2009 Size:3K
  • [Visual C++ (VC++)] KeyBoard.rar KeyBoard
    Category: Other systems Upload User:zshfltd Size:4K
  • [VHDL] keyboard_vhdl.rar ... HEX6, HEX7 : out std_logic_vector(6 downto 0) ) end klawa architecture Behavioral of klawa is component keyboard PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ...
    Category: Driver Develop Upload User:moldbase Size:623K
  • [Visual C++ (VC++)] DPS2812-KEYBOARD.rar you can learn more about keyboard
    Category: Embeded Linux Upload User:zjwcstc Size:144K
  • [Visual C++ (VC++)] coba.rar traiying keyboard loger
    Category: source in ebook Upload User:hnwbjx Size:1K