- 
- 
                
			
- 
                
			
- 
                
					[ C++ Builder] 
					 tetris.zip
					this a de2 project with the fucntion of rsr232 , keyboard and VGA.... everything is workable..Category:  Other Games Upload User: xianghe085 Size: 1615K
 
- 
                
					[ Visual Basic (VB)] 
					 RemoteControl.zip
					Compile the server and the client separately (it s 2 programs)
Then with the server running, connect with the client and make the server s "window" move arround the screen with simple arrow keys on the keyboard of the client computer.
 
- 
                
					[ VHDL] 
					 Kbtestbench.rar
					 ...  enable led on fgpa to show the binary code of each key on ps2 keyboard and another four 7segment will display the number from 0 to 9 when you press those number on keyboard, besides it will display E on 7seg when you press others.
 
- 
                
					[ C/C++] 
					 Keyboard.zip
					1, starts running when the indicator light 7 light, waiting for the keyboard button 2, press the " F"  key to enter the running state, light flashes 7* 3, 7, blinking in the light state, according to " 0-9"  one of the key, instructions  ...Category:  SCM Upload User: ghkj2009 Size: 3K
 
- 
                
			
- 
                
					[ VHDL] 
					 keyboard_vhdl.rar
					 ... HEX6, HEX7 : out std_logic_vector(6 downto 0)
	) 
end klawa 
architecture Behavioral of klawa is
component keyboard
PORT (
		keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC  
		scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0  ...
 
- 
                
			
-