-
-
-
[
Matlab]
kpca_origin.rar
Kernel PCA toy example Nonlinear component analysis as a kernel Eigenvalue problem
Category:
matlab Upload User:
phiya84 Size:
1K
-
-
-
-
-
-
[
Delphi]
uniprn.zip
Non-visual component providing full support for dot-matrix printers epson-compatible.
It allows print in text-mode (very fast), print in graphic mode
-
-
[
VHDL]
keyboard_vhdl.rar
... , HEX6, HEX7 : out std_logic_vector(6 downto 0)
)
end klawa
architecture Behavioral of klawa is
component keyboard
PORT (
keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC
scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO ...